我们从2011年坚守至今,只想做存粹的技术论坛。  由于网站在外面,点击附件后要很长世间才弹出下载,请耐心等待,勿重复点击不要用Edge和IE浏览器下载,否则提示不安全下载不了

 找回密码
 立即注册
搜索

版块导航

综合交流论坛
逆天资讯
51单片机 | STM32 | AVR
FPGA | CPLD | DSP
ARM论坛
数 | 模电子
拆机 | DIY | 维修
程序开发
手机平板论坛
Layoutguide指南 | Checklist
维修图纸固件程序
DataSheet | 规格书 | 数据手册
综合论坛
『EDA设计软件交流』
PADS|Powerpcb
Allegro|OrCAD
Mentor|其它EDA
Altium|Protel
EMI | EMC |仿真
genesis | cam论坛
软件汇总
专业评审
资源区
作品文件展(二级)
作品图片展
原理图共享
封装库共享
项目开源
PDF电子书
求资料
网盘资料大杂烩
培训报名QQ:65964512
Allegro240812初级班
PADS240812初级班
allegro240402抖音公开直播初级班
Allegro-230921高级班
1元学习PADS(20230718期)
1元学习Allegro(20230718期)
Allegro-230522高级班
Pads-230522高级班
1元学习PADS(20221219期)
1元学习Allegro(2期)
1元学习Allegro(1期)
PADS20220406高级特惠班
PADS20220105初级班
PADS20210616高级班
2021-Pads国庆特训班
PADS20210412初级班
PADS20210122高级班
Pads20201123初级班
2020-PADS国庆特训班
PADS20200601高级班
PADS20200316初级班
PADS-191021高级班
PADS-190819初级班
PADS-190603高级班
PADS-190220高级班
PADS-181015高级班
Allegro-180504高级班
PADS-180606高级班
PADS-180305高级班
PADS-171101高级班
PADS-181217初级班
1元学习PADS(5期)
1元学习PADS(4期)
Allegro-171023高级班
1元学习PADS(3期)
PADS-170802高级班
PADS-170506高级班
161202初级+综合
百元学习allegro(1期)
1元学习PADS(1期)
1元学习PADS(2期)
PADS-161202高级班
已学完板块汇总
购买视频练习项目版块
培训公告
老吴专栏
规格书征集
» 非技术讨论区(乐币栏)
新手上路
举报奖励
创业论坛
升级经验
招聘/求职
承接| 广告
英语角
RMB提现
PCB换人民币
休闲 | 娱乐 | 站务 | 活动
好电影&贴图区
公告|站务
感情|闲聊
收藏本版 |订阅

FPGA | CPLD | DSP 今日: 1 |主题: 1248|排名: 31 

作者 回复/查看 最后发表
公告: 转载本站资料,没有明确注明出处,一律永久封号处理 老吴 2017-10-31    
[资料贡献] Lattice LFE3-35EA 开发板原理图  ...23456..9 libinh9635 2022-12-29 822816 18275916040 半小时前
[资料贡献] CPLD入门与实践 - [售价 2 枚金币]  ...2 sml008 2019-11-18 101251 hyaniot 3 天前
[技术文章] FPGA入门解惑  ...23456..16 无风不起浪 2016-9-4 1546181 水手辛伯达 5 天前
[技术文章] PCB之感悟!  ...2 高精密多 2014-6-16 122531 kingweison 6 天前
[资料贡献] 600页的FPGA设计指南(中文版)  ...23456..50 rousong1989 2013-6-14 49029285 uestcc3200732 2024-9-11 17:29
[技术文章] 基于DSP控制的在线式UPS整流技术 - DSP 我54123 2016-10-15 5967 perryxing 2024-9-10 11:59
[技术文章] 深入浅出玩转 FPGA 吴厚航 特权同学 高清完整版 - [售价 1 枚金币]  ...23 yes19891989 2020-1-10 202396 kingweison 2024-9-10 09:07
[视频教程] 电子工程师创新设计必备宝典系列之FPGA开发全攻略  ...2 a313418291 2015-11-12 121884 h09721 2024-9-4 08:23
[资料贡献] Xilinx ZC706开发板资料 lishiheng 2024-6-13 1244 pcbfriend 2024-8-31 19:58
[资料贡献] 【资料放送】【黑金原创教程】《FPGA那些事儿(十四部全)》  ...23456..11 碎碎思 2018-11-19 1026872 yangzemin1818 2024-8-28 17:36
[资料贡献] 凯智通emmc转接板第二版  ...2 liyuanqing1988 2021-1-10 101171 kingweison 2024-8-28 09:14
[资料贡献] XILINX经典学习资料,读一遍就入门了  ...23456..41 yasacy 2016-3-11 40017292 商周 2024-8-25 08:42
[资料贡献] 中嵌教育的FPGA培训资料  ...23456..7 lx02295119 2019-8-15 694550 商周 2024-8-25 08:40
[资料贡献] FPGA实战演练逻辑篇FPGA应用领域  ...2 至芯陈老师 2020-4-17 11943 商周 2024-8-24 17:02
[资料贡献] FPGA高手设计实战真经100则  ...23 329879762 2019-3-28 252809 huayutiangong 2024-8-22 14:25
[资料贡献] 基于zynq xc7z020-2clg400 开发板原理图 - [售价 5 枚金币]  ...23 chenbourne 2024-5-8 21692 vsl1101 2024-8-20 20:43
[资料贡献] FPGA很有价值的27实例(实例精选)  ...23456..43 yi465483 2013-12-17 42422829 鬼卒神戈 2024-8-18 12:00
[技术文章] 基于DSP与FPGA的跟踪伺服运动控制  ...23456..10 我54123 2016-10-21 905380 rmd168 2024-8-17 00:28
[技术文章] FPGA学习——等精度测频(转) 2dmin 2012-8-25 13348 kingweison 2024-8-15 07:36
[求助] 自己写的第一个Verilog HDL流水灯代码居然不能工作?(已经 smartexp 2014-6-15 52453 kingweison 2024-8-13 09:17
[资料贡献] Xilinx Virtex UltraScale+ FPGA VCU118 原理图 - [售价 5 枚金币] 232303610 2021-12-15 91493 1968235920 2024-8-12 15:38
[资料贡献] 50MHZ 分频至1MHZ,1KHz,1Hz 分频器 尼古拉斯_jt 2019-11-28 41503 kingweison 2024-8-11 14:50
[资料贡献] FPGA权威指南  ...2 全栈工程师 2020-1-17 111446 kingweison 2024-8-8 09:12
[资料贡献] KIcad laizhenhui 2019-8-29 41496 a791628156 2024-8-1 18:12
[技术文章] BGA芯片注意问题 鬼卒神戈 2024-7-31 0104 鬼卒神戈 2024-7-31 20:48
[资料贡献] DSP芯片选型须知,免费共享大家  ...23456..7 fanchao7219 2017-3-20 634118 一点一滴 2024-7-31 09:45
[资料贡献] Quartus_II官方教程中文版  ...2 xbdsx1 2015-11-11 152713 yyhnb 2024-7-26 09:11
[技术文章] 形式化芯片验证:救世主还是乌托邦 bf1942 2020-4-25 41012 kingweison 2024-7-24 09:01
[资料贡献] 手把手教你学会FPGA设计PDF 新人帖 xiaohuangstudy 2024-7-20 0152 xiaohuangstudy 2024-7-20 00:28
[技术文章] XILINX FPGA Verilog编程大全.pdf lishiheng 2024-6-13 3340 Ifjin 2024-7-19 11:01
[技术讨论] fpga之路方向 新人帖 xlshen 2024-7-14 5416 鬼卒神戈 2024-7-17 21:05
[技术文章] 基于FPGA的数字信号处理 - [售价 1 枚金币] yes19891989 2020-1-10 61678 kingweison 2024-7-16 09:11
[资料贡献] Verilog基础知识点  ...2345 陌笙 2024-2-5 411128 kingweison 2024-7-13 17:28
[资料贡献] DSP28335最小系统pcb  ...2 beyondbug 2017-11-2 141523 菜坤电工 2024-7-11 22:55
FPGA学习感悟 2dmin 2012-4-30 75014 吃不胖的罗锤子 2024-7-11 21:24
[资料贡献] PCB抄板  ...23 qinyufei 2018-10-13 202763 kingweison 2024-7-9 09:15
[技术文章] FPGA 时序约束教程 onefinger 2020-2-25 91251 kingweison 2024-7-5 09:26
[技术文章] 如果只是在主板上贴有四片DDRmemory,要求时钟能达到150Mhz leslie_aqiang 2021-2-5 4889 kingweison 2024-7-2 17:08
[技术文章] 嵌入式MRAM关键应用与制造商 英尚微电子 2020-3-20 71172 kingweison 2024-6-29 12:35
[资料贡献] 【全开源】DIY手机便携式示波器制作(DSP固件+手机端软件+  ...23456..16 cooldog123pp 2016-11-23 1549543 williamtony 2024-6-29 12:34
[资料贡献] 135个fpga经典设计实例  ...23456..34 linggonji 2013-12-25 33720001 huaji52 2024-6-24 15:55
[技术文章] 彭启宗的dsp技术学习笔记  ...2 我54123 2017-3-1 131762 kingweison 2024-6-24 09:08
[资料贡献] 全志a33 最新mid 原理图  ...234 zhouyg 2017-1-24 313646 kingweison 2024-6-22 08:00
[技术文章] 单一DSP控制两套三相逆变器的实现  ...234 我54123 2016-10-18 343930 高天原 2024-6-19 08:26
[资料贡献] 小梅哥AC620 FPGA开发板图像处理设计教程V1.1  ...23456..9 花儿与少年 2020-7-19 815206 huaguwu 2024-6-14 09:41
[资料贡献] zynq 7015开发板原理图  ...23 zony 2024-1-8 281641 qh123 2024-6-13 11:30
[资料贡献] 926页的FPGA开发指南  ...23456..26 relotus 2018-10-6 25110963 ky175 2024-6-7 09:58
[资料贡献] 如何扩展FPGA的工作温度范围?  ...2 至芯陈老师 2020-9-1 131535 thene 2024-5-14 17:02
[资料贡献] CPLD 液晶 驱动板  ...2345 kingboy100 2017-4-15 434009 xm2024 2024-5-10 22:19
[资料贡献] AC7015核心板原理图PDF资料 yong99gege 2024-1-21 9511 free666 2024-5-4 19:20
下一页 »

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 立即注册

本版积分规则

论坛开启做任务可以
额外奖励金币快速赚
积分升级了


Copyright ©2011-2024 NTpcb.com All Right Reserved.  Powered by Discuz! (NTpcb)

本站信息均由会员发表,不代表NTpcb立场,如侵犯了您的权利请发帖投诉

平平安安
TOP
返回顶部 返回版块